This page includes arxiv, workshop, and design-contest papers, patents, and thesis

Non-peer-reviewed papers and presentations

  1. Ashish Shukla, Timur V. Filippov, Dmitri E. Kirichenko, Anubhav Sahu, Mingoo Seok, and Deepnarayan Gupta “Current Management Technique for Serially Biased RSFQ circuits,” poster presentation, IEEE Applied Superconductivity Conference (ASC), 2020

  2. Zhewei Jiang, Jiangyi Li, Pavan K. Chundi, Sung Justin Kim, Minhao Yang, Joonseong Kang, Seungchul Jung, Sang Joon Kim, Mingoo Seok, “A 6.3-Nanowatt-per-Channel 96-Channel Neural Spike Processor for a Movement-Intention-Decoding Brain-Computer-Interface Implant,” arXiv.org, 2020, Link

  3. Dewei Wang, Pavan Kumar Chundi, Sung Justin Kim, Minhao Yang, Joao Pedro Cerqueira, Joonsung Kang, Seungchul Jung, Sangjoon Kim, Mingoo Seok, “Always-On, Sub-300-nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device,” arXiv.org, 2020, Link

  4. Dongkwun Kim, Mingoo Seok, “A 10-Output Single-Inductor-Multiple-Output DC-DC Buck Converter with 200-pF Integrated Output Capacitors for a Sub-mW Multi-Voltage Domain System-on-Chip,” a lecture presentation, SRC TECHCON, Sep, 2020

  5. Dewei Wang, Mingoo Seok, “Always-On, Sub-300nW, Event-Driven Spiking Neural Network for an Ultra-Low-Power Intelligent Device,” a lecture presentation, SRC TECHCON, Sep, 2020

  6. Peiye Liu, Bo Wu, Huadong Ma, Pavan Kumar Chundi, Mingoo Seok, “MemNet: Memory-Efficiency Guided Neural Architecture Search with Augment-Trim learning,” arXiv.org, 2019, Link

  7. Sung Kim, Mingoo Seok, “A 0.5-1V Input Event-Driven Multiple Digital Low-Dropout-Regulator System for Supporting a Large Digital Load,” a lecture presentation, SRC TECHCON, Sep, 2019

  8. Mingoo Seok, “AI and ML Hardware for Resource-Constrained Devices,” poster, TinyML Submit, Santa Clara, CA USA, Mar., 2019

  9. Peiye Liu, Wu Liu, Huadong Ma, Tao Mei, Mingoo Seok, “KTAN: Knowledge Transfer Adversarial Network,” arXiv.org, 2018, Link

  10. Dongkwun Kim, Suyoung Bang, Minki Cho, Seongjong Kim, Suhwan Kim, Ram Kumar Krishnamurthy, Mingoo Seok, “Better-Than-Worst-Case Design Methodology for a Compact Integrated Switched-Capacitor DC-DC Converter,” a lecture presentation, SRC TECHCON, Aug, 2018

  11. Zhewei Jiang, Shuhui Yin, Mingoo Seok, Jae-sun Seo, ‘‘XNOR-SRAM: In-Memory Mixed-Signal Accelerator for Binary/Ternary-Input and Binary-Weight Deep Neural Networks,’’ Presentation at the 2018 ISSCC Student Research Preview (SRP) (Student work in progress), Feb., 2018

  12. Guanshun Yu, Tom Y. Cheng, Blayne Kettlewell, Harrison Liew, Mingoo Seok, Peter R. Kinget, “FPGA with Improved Routability and Robustness in 130nm CMOS with Open-Source CAD Targetability,” Arxiv, 2017, a pre-print uploaded here.

  13. Tianchan Guan, Xiaoyang Zeng, Mingoo Seok, “Recursive Binary Neural Network Learning Model with 2.28b/Weight Storage Requirement,” Arxiv, 2017, Link

  14. Saarthak Sarup, Mingoo Seok, “Dynamic Capacity Estimation in Hopfield Networks,” Arxiv, 2017, Link

  15. Zhewei Jiang, Shihui Yin, Mingoo Seok, Jae-sun Seo, Presentation at the 2018 ISSCC Student Research Preview (SRP) session (Student work in progress), Feb, 2018

  16. Seongjong Kim, Joao Pedro Cerqueira, Mingoo Seok, Presentation at the 2016 ISSCC Student Research Preview (SRP) session (Student work in progress), Jan, 2016

  17. Zhewei Jiang, Mingoo Seok, “A Low Power Unsupervised Spike Sorting Accelerator Insensitive to Clustering Initialization in Sub-Optimal Feature Space,” Data on a Mission, Internet of Things, A Mini-Symposium with Industry Experts, Columbia University, May, 2015

  18. Seongjong Kim, Mingoo Seok, “R-Processor: Resilient Microprocessor Design for Ultra-Low-Power Ubiquitous Computing,” Data on a Mission, Internet of Things, A Mini-Symposium with Industry Experts, Columbia University, May, 2015

  19. Paolo Mantovani, Emilion G. Cota, Seongjong Kim, Kevin Tien, Johnnie Chan, Giuseppe Di Guglielmo, Christian Pilato, Martha A. Kim, Mingoo Seok, Kenneth Shepard, Luca P. Carloni, “Benchmarking Methodology for Embedded Scalable Platforms,” SEAK: DAC Workshop on Suite of Embedded Applications and Kernels during ACM EDAC IEEE Design Automation Conference, 2014,

  20. Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “Phoenix: an Ultra-Low Power Processor for Cubic Millimeter Sensor Systems,” DAC ISSCC Student Design Contest Winner, 2009 pdf

Patents

  1. Mingoo Seok, Jiangyi Li, “Physically Unclonnable Function Circuits,” Patent filed

  2. Mingoo Seok, Peter Kinget, Teng Yang, “In-Situ Techniques for In-Field Sensing of NBTI Degradation in an SRAM Register File,” Patent filed, CTV

  3. Yannis Tsividis, Ning Guo, Mingoo Seok, ”A Continuous-Time Apparatus for Generating Analog Look-up Tables and Generating Analog Nonlinear Functions,” Disclosure filed

  4. Mingoo Seok, Peter Kinget, Teng Yang, Seongjong Kim, “Circuits for Temperature Sensors,” Patent filed US 61/899,275, CTV

  5. Mingoo Seok, Jing-Fei Ren, Manish Goel, “Security of Cryptographic Devices Against Differential Power Analysis,” US2013191652(A1), US8782446(B2), WO2013110055(A1), WO2013110055(A8), issued Google Patents

  6. Mingoo Seok, Dennis Sylvester, David Blaauw, Scott Hanson, Gregory K. Chen, “Pico-power Reference Voltage Generator,” US Patent Issued (Pending in China, Europe, Japan, South Korea, and Taiwan, issued and commercially-licensed Google Patents

  7. Mingoo Seok, Yoonmyung Lee, Scott Hanson, David Blaauw, Dennis Sylvester, ”Low leakage memory circuit,” Disclosure filed

  8. Mingoo Seok, Scott Hanson, Jae-sun Seo, David Blaauw, Dennis Sylvester, ”Robust low voltage read-only memory,” Disclosure filed

Thesis

  1. Andreas Hofmann “Energy-Efficient Micro-Motor Control for Mobile Robots,” Bachelor Thesis for Bachelor of Science in Engineering, Mechatronics - Mechanical Engineering, Management Center Innsbruck