For the most up-to-date publication results, please find our Google Scholar page at Here, Computer Science Bibliography at Here, and our Arxiv page at Here

2024

  1. Sungjin Park, Kwanghyun Shin, Dongkwon Lee, Minyoung Kang, Sunwoo Lee, Youngmin Park, Mingoo Seok, Dongsuk Jeon, “A 5.6uW 92.7% 10-Keyword End-to-End Keyword Spotting System with Passive Oversampling and Sign-Exponent-Only Layer Fusion,” IEEE Symposium on VLSI Circuits (VLSI), 2024

  2. Zhaoqing Wang, Mao Li, Suhwan Kim, Nachiket Desai, Ram K. Krishnamurthy, Orlando Lazaro, Xin Zhang, Mingoo Seok, ”93.89% Peak Efficiency 24V-to-1V DC-DC Converter with Fast In-Situ Efficiency Tracking and Power-FET Code Roaming,” IEEE Journal of Solid-State Circuits (JSSC), 2024, invited to the special issue of the 2023 IEEE ESSCIRC

  3. Paul Xuanyuanliang Huang, Yannis Tsividis, Mingoo Seok, “INTIACC: A Programmable Floating-Point Accelerator for Partial Differential Equations,” IEEE Journal of Solid-State Circuits (JSSC), 2024

  4. Gokul Krishnan, Gopikrishnan Raveendran Nair, Jonghyun Oh, Anupreetham Anupreetham, Pragnya Sudershan Nalla, Ahmed Hassan, Injune Yeo, Kishore Kasichainula, Jae-sun Seo, Mingoo Seok, Yu Cao, “3D In-Sensor Computing for Real-time DVS Data Compression: 65nm Hardware-Algorithm Co-design,” IEEE Solid-State Circuits Letter (SSCL), 2024

  5. James Robinson, Maarten Hattink, Liang Yuan Dai, Max Haimowitz, Mao Li, Daniel Jang, Padraic Morrissey, Peter O’Brien, Seth Robertson, Mingoo Seok, and Keren Bergman, “FPGA-Programmable 512 Gbps 2.5D DWDM Photonic Network Interface Card,” Conference on Lasers and Electro-Optics (CLEO), 2024

  6. Chuan-Tung Lin, Paul X. Huang, Jonghyun Oh, Dewei Wang, Mingoo Seok, “iMCU: A 28nm Digital In-Memory Computing-based Microcontroller Unit for TinyML,” IEEE Journal of Solid-State Circuits (JSSC), 2024

  7. Bo Zhang, Seunghyun Moon, Mingoo Seok, “A 1-TFLOPS/W, 28-nm Deep Neural Network Accelerator featuring Online Compression and Decompression and BF16 Digital In-Memory-Computing Hardware,” IEEE Custom Integrated Circuits Conference (CICC), 2024

  8. Chuan-Tung Lin*, Jonghyun Oh*, Kevin Lee, Mingoo Seok, “STAR-SRAM: 43.06-TFLOPS/W, 1.89-TFLOPS/mm2, 400-Kb/mm2 Floating-Point SRAM-based Digital Computing-in-Memory Macro in 28-nm CMOS,” IEEE Custom Integrated Circuits Conference (CICC), 2024 (*: equal contribution)

  9. Mao Li, Zhaoqing Wang, Sanu K. Mathew, Vivek De, Mingoo Seok, “PACTOR: A Variation-Tolerant Probing-Attack Detector for a 2.5Gbpsx4-Channel Chip-to-Chip Interface in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), 2024

2023

  1. Bo Zhang, Jyotishman Saikia, Jian Meng, Dewei Wang, Soon-Wan Kwon, Sungmeen Myung, Hyunsoo Kim, Sang Joon Kim, Jae-sun Seo, Mingoo Seok ”MACC-SRAM: A Multistep Accumulation Capacitor-Coupling In-Memory Computing SRAM Macro for Deep Convolutional Neural Networks,” IEEE Journal of Solid-State Circuits (JSSC), 2023

  2. Jonghyun Oh, Yoonho Song, Young-Ha Hwang, Jun-Eun Park, Mingoo Seok, Deog-Kyoon Jeong, “A Capacitorless External-Clock-Free Fully-Synthesizable Digital LDO with Time-Based Load-State Decision and Asynchronous Recovery,” IEEE Transactions on Power Electronics (TPEL), 2023

  3. Chuan-Tung Lin, Dewei Wang, Bo Zhang, Gregory K. Chen, Phil Knag, Ram K. Krishnamurthy, Mingoo Seok, ”DIMCA: An Area-Efficient Digital In-Memory Computing Macro Featuring Approximate Arithmetic Hardware in 28nm,” IEEE Journal of Solid-State Circuits (JSSC), 2023

  4. Jin-O Seo, Mingoo Seok, Seonghwan Cho, “A 44.2-TOPS/W CNN Processor with Variation-Tolerant Analog Datapath and Variation Compensating Circuit,” IEEE Journal of Solid-State Circuits (JSSC), 2023

  5. Mao Li, Yunze Yang, Weifeng He, Sanu K Mathew, Vivek De, Mingoo Seok, ”A Fully-Digital Variation-Tolerant Runtime Detector for PCB-level Probing Attack in a 28-nm CMOS,” IEEE Solid-State Circuits Letter (SSCL), 2023

  6. Gokul Krishnan, Gopikrishnan Raveendran Nair, Jonghyun Oh, Anupreetham Anupreetham, Pragnya Sudershan Nalla, Ahmed Hassan, Injune Yeo, Kishore Kasichainula, Jae-sun Seo, Mingoo Seok, Yu Cao, “3D-ISC: A 65nm 3D Compatible In-Sensor Computing Accelerator with Reconfigurable Tile Architecture for Real-time DVS Data Compression,” IEEE Asian Solid-State Circuits Conference (ASSCC), 2023

  7. Jieyu Li, Weifeng He, Bo Zhang, Guanghui He, Jun Yang, Mingoo Seok, “TICA: Timing Slack Inference and Clock Frequency Adaption Technique for a Deeply-Pipelined Near-Threshold-Voltage Bitcoin Mining Core,” IEEE Journal of Solid-State Circuits (JSSC), 2023

  8. Alon S. Levin, Igor Kadota, Sasank Garikapati, Bo Zhang, Aditya Jolly, Manav Kohli, Mingoo Seok, Harish Krishnaswamy, Gil Zussman, “Demo: Experimentation with Wideband Real-Time Adaptive Full Duplex Radios,” ACM Special Interest Group on Data Communication (SIGCOMM), 2023

  9. Jonghyun Oh, Chuan-Tung Lin, Mingoo Seok, “D6CIM: 60.4-TOPS/W, 1.46-TOPS/mm2, 1005-Kb/mm2 Digital 6T-SRAM-Based Compute-in-Memory Macro Supporting 1-to-8b Fixed-Point Arithmetic in 28-nm CMOS,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2023

  10. Dewei Wang, Jonghyun Oh, Gregory K. Chen, Phil Knag, Ram K. Krishnamurthy, Mingoo Seok, “microASR: 32-uW Real-Time Automatic Speech Recognition Chip featuring a Bio-Inspired Neuron Model and Digital SRAM-based Compute-In-Memory Hardware,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2023

  11. Zhaoqing Wang, Mao Li, Suhwan Kim, Nachiket Desai, Ram K. Krishnamurthy, Orlando Lazaro, Andres Blanco, Xin Zhang, Mingoo Seok, “93.89% Peak Efficiency 24V-to-1V DC-DC Converter with Fast In-Situ Efficiency Tracking and Power-FET Code Roaming,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2023

  12. Chuan-Tung Lin, Paul X. Huang, Jonghyun Oh, Dewei Wang, Mingoo Seok, ”iMCU: A 730-μJ/Classification Digital In-Memory Computing-based Microcontroller Unit for Edge TinyML,” IEEE Custom Integrated Circuits Conference (CICC), 2023

  13. Jieyu Li, Weifeng He, Bo Zhang, Liang Qi, Guanghui He, Mingoo Seok, ”CCSA: A 394 TOPS/W Mixed-signal GPS Accelerator with Charge-based Correlation Computing for Signal Acquisition,” IEEE International Solid-State Circuits Conference (ISSCC), 2023

  14. Chuxiong Lin, Weifeng He, Yannan Sun, Lin Shao, Bo Zhang, Jun Yang, Mingoo Seok, “A Metastability Risk Prediction and Mitigation Technique for Clock-Domain Crossing with Single-Stage Synchronizer in Near-Threshold-Voltage Multi-Voltage/Frequency Domain Network-on-Chip,” IEEE Journal of Solid-State Circuits (JSSC), 2023

  15. Ashish Shukla, Dmitri E. Kirichenko, Timur V. Filippov, Anubhav Sahu, Erik Lehmann, Mingoo Seok, Deepnarayan Gupta, ”60-GHz Single Flux Quantum Pulse Transfer Circuit for Serial Biasing,” IEEE Transactions on Applied Superconductivity, 2023

  16. Yuxuan Qin, Chuxiong Lin, Weifeng He, Yanan Sun, Zhigang Mao, and Mingoo Seok, ”CDAR-DRAM: Enabling Runtime DRAM Performance and Energy Optimization via In-situ Charge Detection and Adaptive Data Restoration,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2023

2022

  1. Ashish Shukla, Timur V. Filippov, Dmitri E. Kirichenko, Sukanya Meher, M. Eren Çelik, Mingoo Seok, and Deepnarayan Gupta, “Serial Biasing Technique for Electronic Design Automation in RSFQ Circuits,” IEEE Transactions on Applied Superconductivity, 2022

  2. Jonghyun Oh, Young-Ha Hwang, Jun-Eun Park, Mingoo Seok, and Deog-Kyoon Jeong, ”An Output-Capacitor-Free Synthesizable Digital LDO Using CMP-Triggered Oscillator and Droop Detector,” IEEE Journal of Solid-State Circuits (JSSC), 2022

  3. Bo Zhang, Shihui Yin, Minkyu Kim, Jyotishman Saikia, Soonwan Kwon, Sungmeen Myung, Hyunsoo Kim, Sang Joon Kim, Jae-sun Seo, Mingoo Seok, “PIMCA: A Programmable In-Memory Computing Accelerator for Energy-Efficient DNN Inference,” IEEE Journal of Solid-State Circuits (JSSC), 2022

  4. Mercy Daniel-Aguebor, Mutee Ur Rehman, Serhat Erdogan, Kyoung-Sik Jack Moon, Nikita Ambasana, Saibal Mukhopadhya, Madhavan Swaminathan, Liang Yuan Dai, Keren Bergman, Daniel Jang, Mingoo Seok, "Package Design and Measurements for Radar Emulator using Accelerators and Photonics,” IEEE Electronic Components and Technology Conference (ECTC), 2022

  5. Jonghyun Oh, Yoonho Song, Young-Ha Hwang, Jun-Eun Park, Mingoo Seok, Deog-Kyoon Jeong, “A 0.0043-mm2 Capacitorless External-Clock-Free Fully-Synthesizable Digital LDO Using Load-Direct Droop Detector and Time-Based Load-State Decision,” IEEE Asian Solid-State Circuits conference (ASSCC), 2022

  6. Hao Zhang, Weifeng He, Yanan Sun, Mingoo Seok, “A DFT-Compatible In-Situ Timing Error Detection and Correction Structure Featuring Low Area and Test Overhead,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2022

  7. Paul X. Huang, Daniel Jang, Yannis P. Tsividis, Mingoo Seok, “INTIACC: A 32-b Floating-Point Programmable Custom-ISA Accelerator for Solving Classes of Partial Differential Equations,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2022

  8. Bo Zhang, Jyotishman Saikia, Dewei Wang, Jian Meng, Soon-Wan Kwon, Sungmeen Myung, Hyunsoo Kim, Sang Joon Kim, Jae-sun Seo, Mingoo Seok, “A 177-TOP/W, Capacitor-based In-Memory Computing SRAM Macro with Stepwise-Charging/Discharging DACs and Sparsity-Optimized Bitcells for 4-Bit Deep Convolutional Neural Networks,” IEEE Custom Integrated Circuits Conference (CICC), 2022

  9. Chuxiong Lin, Weifeng He, Yannan Sun, Lin Shao, Bo Zhang, Jun Yang, Mingoo Seok, “MPAM: Low-Latency, Near-Threshold-Voltage Multi-Voltage/Frequency-Domain Network-on-Chip with Metastability Risk Prediction and Mitigation,” IEEE Custom Integrated Circuits Conference (CICC), 2022

  10. Jieyu Li, Weifeng He, Bo Zhang, Guanghui He, Jun Yang, Mingoo Seok, “TICA: 0.3V, Variation-Resilient, 64-Stage Deeply-Pipelined Bitcoin Mining Core with Timing Slack Inference and Clock Frequency Adaption,” IEEE Custom Integrated Circuits Conference (CICC), 2022

  11. Zhaoqing Wang, Sung Justin Kim, Keith Bowmann, Mingoo Seok, “Review, Survey, and Benchmark of Recent Digital LDO Voltage Regulators,” IEEE Custom Integrated Circuits Conference (CICC), 2022, invited

  12. Ashish Shukla, Dmitri Kirichenko, Timur Filippov, Anubhav Sahu, Eren C¸ elik, Mingoo Seok, Deepnarayan Gupta, ”Pulse Interfaces and Current Management Techniques for Serially Biased RSFQ Circuits”,” IEEE Transactions on Applied Superconductivity, Special Issue, 2022

  13. Dewei Wang, Chuan-Tung Lin, Gregory K. Chen, Phil Knag, Ram Kumar Krishnamurthy, Mingoo Seok, ”DIMC: 2219TOPS/W, 2569F2/bit, Digital In-Memory Computing Macro in 28nm based on Approximate Arithmetic Hardware,” IEEE International Solid-State Circuits Conference (ISSCC), 2022

  14. Jin-O Seo, Mingoo Seok, Seonghwan Cho, ”ARCHON: A 377-TOPS/W, 5-Bit Variation-Tolerant Analog CNN Processor Featuring Analog Neuronal Computation Unit and Analog Memory,” IEEE International Solid-State Circuits Conference (ISSCC), 2022

2021

  1. Pavan Kumar Chundi, Xiaodong Wang, Mingoo Seok, “Channel Estimation using Deep Learning on an FPGA for 5G Millimeter-Wave Communication Systems,” IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I), 2021

  2. Sai Kiran Cherupally, Jian Meng, Adnan Siraj Rakin, Shihui Yin, Mingoo Seok, Deliang Fan, Jae-sun Seo, “Improving DNN Hardware Accuracy by In-Memory Computing Noise Injection,” IEEE Design & Test (D&T), 2021

  3. Pavan Kumar Chundi, Dewei Wang, Sung Justin Kim, Minhao Yang, Joonsung Kang, Seungchul Jung, Sangjoon Kim, Mingoo Seok, “Always-On Sub-Microwatt Spiking Neural Network based on Spike-Driven Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device,” Frontiers in Neuroscience: Hardware for Artificial Intelligence, 2021

  4. Minhao Yang, Hongjie Liu, Weiwei Shan, Jun Zhang, Ilya Kiselev, Sang Joon Kim, Christian Enz, Mingoo Seok, “Nanowatt Acoustic Inference Sensing Exploiting Nonlinear Analog Feature Extraction,” IEEE Journal of Solid-State Circuits (JSSC), 2021

  5. Hao Zhang, Weifeng He, Yanan Sun, Mingoo Seok, “An Area-Efficient Scannable In Situ Timing Error Detection Technique Featuring Low Test Overhead for Resilient Circuits,” IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2021

  6. Fred Douglis, Seth Robertson, Eric van den Berg, Josephine Micallef, Marc Pucci, Alex Aiken, Keren Bergman, Maarten Hattink, Mingoo Seok, “FLEET– Fast Lanes for Expedited Execution at 10 Terabits: Program Overview,” IEEE Internet Computing, 2021

  7. Eren Kurshan, Hai Li, Mingoo Seok, Yuan Xie, “A Case for 3D Integrated System Design for Neuromorphic Computing and AI Applications,” International Journal of Semantic Computing, 2021

  8. Sung Justin Kim, Dongkwun Kim, Ayushparth Sharma, Mingoo Seok, “EQZ-LDO: A Near-Zero EDP Overhead, >10M-Attack-Resilient, Secure Digital LDO featuring Attack-Detection and Detection-Driven Protection for a Correlation-Power-Analysis-Resilient IoT Device,” IEEE Symposium on VLSI Circuits (VLSI), 2021

  9. Shihui Yin, Bo Zhang, Minkyu Kim, Jyotishman Saikia, Soon-Wan Kwon, Sungmeen Myung, Hyunsoo Kim, Sang Joon Kim, Mingoo Seok, Jae-sun Seo, “PIMCA: A 3.4-Mb Programmable In-Memory Computing Accelerator in 28nm CMOS for On-Device Deep Neural Networks,” IEEE Symposium on VLSI Circuits (VLSI), 2021

  10. Hao Zhang, Weifeng He, Yanan Sun, Mingoo Seok, “An Energy-Efficient Logic Cell Library Design Methodology with Fine Granularity of Driving Strength for Near- and Sub-Threshold Digital Circuits”, IEEE International Symposium on Circuits and Systems (ISCAS), 2021

  11. Hao Zhang, Jieyu Li, Weifeng He, Yanan Sun, Mingoo Seok, “An Ultra-Low Leakage Bitcell Structure with the Feedforward Self-Suppression Scheme for Near-Threshold SRAM”, IEEE International Symposium on Circuits and Systems (ISCAS), 2021

  12. Jieyu Li, Zihan Lian, Hao Zhang, Weifeng He, Yanan Sun, Mingoo Seok, “Investigation of Dynamic Leakage-Suppression Logic Techniques Crossing Different Technology Nodes from 180 nm Bulk CMOS to 7 nm FinFET Plus Process”, IEEE International Symposium on Circuits and Systems (ISCAS), 2021

  13. Sung Justin Kim, Soo Bong Chang, Mingoo Seok, “A High PSRR, Low Ripple, Temperature-compensated, 10-µA-Class Digital LDO based on Current-Source Power-FETs for a Sub-mW SoC,” IEEE Solid-State Circuits Letter (SSCL), 2021

  14. Sung Justin Kim, Dongkwun Kim, Yu Pu, Chunlei Shi, Soo Bong Chang, Mingoo Seok, “0.5-1V, 90-400mA, Modular, Distributed, 3X3 Digital LDOs based on Event-Driven Control and Domino Sampling and Regulation,” IEEE Journal of Solid-State Circuits (JSSC), 2021

  15. Sai Kiran Cherupally, Adnan Rakin, Shihui Yin, Mingoo Seok, Deliang Fan, Jae-sun Seo, “Leveraging Variability and Aggressive Quantization of In-Memory Computing for Robustness Improvement of Deep Neural Network Hardware Against Adversarial Input and Weight Attacks,” ACM/EDAC/IEEE Design Automation Conference (DAC), 2021

  16. Chuxiong Lin, Weifeng He, Yanan Sun, Zhigang Mao, Mingoo Seok, “CDAR-DRAM: An In-situ Charge Detection and Adaptive Data Restoration DRAM Architecture for Performance and Energy Efficiency Improvement,” ACM/EDAC/IEEE Design Automation Conference (DAC), 2021

  17. Dongkwun Kim, Sung Justin Kim, Zhewei Jiang, Suhwan Kim, Andres Blanco, Ram Krishnamurthy, Mingoo Seok, “A 10-Output, Integrated-Output-Capacitor Single-Inductor-Multiple-Output DC-DC Buck Converter with Integrated Output Capacitors for a Sub-mW System-on-Chip,” IEEE Solid-State Circuits Letter (SSCL), 2021

  18. Jyotishman Saikkia, Shihui Yin, Bo Zhang, Mingoo Seok, Jae-sun Seo, ”Modeling and Optimization of SRAM-based In-Memory Computing Hardware Design,” Design, Automation, and Test in Europe (DATE), 2021

  19. Dewei Wang, Sung Justin Kim, Minhao Yang, Aurel A. Lazar, Mingoo Seok, ”A Background-Noise- and Process-Variation-Tolerant 109-nW Acoustic Feature Extractor based on Spike-Domain Divisive Energy Normalization for an Always-on Keyword Spotting Device”, IEEE International Solid-State Circuits Conference (ISSCC), 2021

2020

  1. Chuxiong Lin, Weifeng He, Yanan Sun, Bingxi Pei, Pavan Chundi, Zhigang Mao, Mingoo Seok, “MEDAC: A Metastability Condition Detection and Correction Technique for a Near-Threshold-Voltage Multi-Voltage/Frequency-Domain Network-on-Chip,” IEEE Journal of Solid-State Circuits (JSSC), 2020

  2. Dewei Wang, Pavan Chundi, Sung Justin Kim, Minhao Yang, Joonsung Kang, Seungchul Jung, Sangjoon Kim, Mingoo Seok, ”Always-On, Sub-300nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an UltraLow-Power Intelligent Device,” IEEE Asian Solid-State Circuits Conference (ASSCC), 2020

  3. Dongkwun Kim, Yoshitaka Yamauchi, Xiaodong Meng, Tianyu Jia, Liam McAuliffe, Todd Takken, Shurong Tian, Andrew Ferencz, Mingoo Seok and Xin Zhang, “A 48V-to-0.75V Active-Clamp Forward Converter Power Block with Integrated Programmable Gate Timing Control and Gate Drivers,” IEEE Energy Conversion Congress and Exposition (ECCE), 2020, in press

  4. Zhewei Jiang, Shihui Yin, Jae-sun Seo, Mingoo Seok, “C3SRAM: An In-Memory-Computing SRAM Macro Based on Robust Capacitive Coupling Computing Mechanism,” IEEE Journal of Solid-State Circuits (JSSC), 2020, invited

  5. Peiye Liu, Wu Liu, Huadong Ma, Zhewei Jiang, Mingoo Seok, “KTAN: Knowledge Transfer Adversarial Network,” IEEE Internaitonal Joint Conference on Neural Networks (IJCNN), 2020

  6. Peiye Liu, Bo Wu, Huadong Ma, Mingoo Seok, “MemNAS: Memory-Efficient Neural Architecture Search with Grow-Trim Learning,” IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), 2020

  7. Joao Pedro Cerqueira, Tomas J. Repetti, Yu Pu, Shivam Priyadarshi, Martha A. Kim, Mingoo Seok, “Catena: A Near-Threshold Sub-0.4-mW 16-Core Programmable Spatial Array Accelerator for the Ultra-Low-Power Mobile and Embedded Internet of Things,” IEEE Journal of Solid-State Circuits (JSSC), 2020

  8. Shihui Yin, Zhewei Jiang, Jae-sun Seo, Mingoo Seok, “XNOR-SRAM: In-Memory Computing SRAM Macro for Binary and Ternary Deep Neural Networks,” IEEE Journal of Solid-State Circuits (JSSC), 2020

  9. Weiwei Shan, Wentao Dai, Liang Wan, Longxing Shi, Mingoo Seok, Jun Yang, ”A Bi-directional, Zero-latency Adaptive Clocking Circuit in a 28nm Wide AVFS System,” IEEE Journal of Solid-State Circuits (JSSC), 2020

  10. Chuxiong Lin, Weifeng He, Yanan Sun, Zhigang Mao, Bingxi Pei, Mingoo Seok, “A Near-Threshold-Voltage Network-on-Chip with a Metastability Error Detection and Correction Technique for Supporting a Quad-Voltage/Frequency-Domain Ultra-Low-Power System-on-a-Chip,” IEEE International Solid-State Circuits Conference (ISSCC), 2020

  11. Weiwei Shan, Minhao Yang, Jiaming Xu, Shuai Zhang, Chengjun Wu, Longxing Shi, Jun Yang and Mingoo Seok, “A 510nW, 0.41V low-memory, low-computation keyword spotting chip using serial FFT based MFCC and binarized depthwise separable convolutional neural network in 28nm CMOS,” IEEE International Solid-State Circuits Conference (ISSCC), 2020

2019

  1. Doyun Kim, Peter R. Kinget, Mingoo Seok, “SRAM-ADC: SRAM Circuits Transformable to a Stochastic ADC at Ultra-Low Area Overhead,” IEEE Solid-State Circuits Letter (SSC-L), 2019

  2. Shihui Yin, Zhewei Jiang, Minkyu Kim, Tushar Gupta, Mingoo Seok, Jae-sun Seo, “Vesti: Energy-Efficient In-Memory Computing Accelerator for Deep Neural Networks,” IEEE Transactions on VLSI Systems (TVLSI), 2019, 2022 TVLSI Best Paper Award

  3. Zhewei Jiang Shihui Yin, Minkyu Kim, Tushar Gupta, Mingoo Seok, Jae-sun Seo, “Vesti: Ultra-Energy-Efficient In-Memory Computing Accelerator for Deep Neural Networks,” IEEE Asilomar Conference on Signals, Systems, and Computers, 2019, invited

  4. Zhewei Jiang, Shihui Yin, Jae-sun Seo, Mingoo Seok, “C3SRAM: In-Memory Computing SRAM Macro Based on Capacitive-Coupling Computing,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2019

  5. Minhao Yang, Shih-Chii Liu, Mingoo Seok, Christian Enz, “Ultra-Low-Power Intelligent Acoustic Sensing using Cochlea-Inspired Feature Extraction and DNN Classification,” IEEE International Conference on ASIC (ASICON), 2019, invited

  6. Joao Pedro Cerqueira, Jieyu Li, Hao Zhang, Jiangyi Li, Weifeng He, Mingoo Seok, “A fW- and kHz-Class Feedforward Leakage Self-Suppression Logic Requiring No External Sleep Signal to Enter the Leakage Suppression Mode,” IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2019, invited

  7. Pavan K. Chundi, Peiye Liu, Sangsu Park, Seho Lee, Mingoo Seok, “FPGA-based Acceleration of Binary Neural Network Training with Minimized Off-Chip Memory Access,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2019

  8. Jyotishman Saikia, Shihui Yin, Zhewei Jiang, Mingoo Seok, Jae-sun Seo, “K-Nearest Neighbor Hardware Accelerator Using In-Memory Computing SRAM,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2019

  9. Seongjong Kim, Joao P. Cerqueira, Mingoo Seok, “A Near-Threshold Spiking Neural Network Accelerator with a Body-Swapping based In-Situ Error Detection and Correction Techniqu,” IEEE Transactions on VLSI Systems (TVLSI), 2019

  10. Joao P. Cerqueira, Thomas J. Repeti, Yu Pu, Shivam Priyadarshi, Martha A. Kim, Mingoo Seok, “Catena: A 0.5-V Sub-0.4-mW 16-Core Spatial Array Accelerator for Mobile and Embedded Computing,” IEEE Symposium on VLSI Circuits (VLSI), 2019

  11. Sung Justin Kim, Dongkwun Kim, Yu Pu, Chunlei Shi, Mingoo Seok, “A 0.5-1V Input Event-Driven Multiple Digital Low-Dropout-Regulator System for Supporting a Large Digital Load,” IEEE Symposium on VLSI Circuits (VLSI), 2019

  12. Weiwei Shan, Ao Fan, Jiaming Xu, Jun Yang, Mingoo Seok, “A 923Gbps/W, 113-Cycle, 2-Sbox Energy-efficient AES Accelerator in 28nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI), 2019

  13. Andrea Lottarini, Joao Pedro Cerqueira, Tomas J. Repetti, Stephen A. Edwards, Kenneth A. Ross, Mingoo Seok, Martha Kim, “Master of None Acceleration: A Comparison of Accelerator Architectures for Analytical Query Processing,” ACM/IEEE International Conference on Computer Architecture (ISCA), 2019

  14. Zhewei Jiang, Shihui Yin, Jae-sun Seo, Mingoo Seok, “XNOR-SRAM: In-Bitcell Computing SRAM Macro based on the Resistive Computing Mechanism,” ACM Great Lakes Symposium on VLSI (GLSVLSI), 2019, invited

  15. Mingoo Seok, Minhao Yang, Zhewei Jiang, Aurel. A. Lazar, Jae-sun Seo, “Cases for Analog-Mixed-Signal Computing Integrated-Circuits for Deep Neural Networks,” International Symposium on VLSI Design, Automation, and Test (VLSI-DAT), 2019, invited

  16. Tianchan Guan, Peiye Liu, Xiaoyang Zeng, Martha Kim, Mingoo Seok, “Recursive Binary Neural Network Training Model for Efficient Usage of On-chip Memory,” IEEE Transactions on Circuits and Systems I (TCAS-I), 2019

  17. Minhao Yang, Chung-Heng Yeh, Yiyin Zhou, Joao P. Cerqueira, Aurel A. Lazar, Mingoo Seok, “Design of an Always-On Deep Neural Network Based 1 uW Voice Activity Detector Aided with a Customized Software Model for Analog Feature Extraction,” IEEE Journal of Solid-State Circuits (JSSC), 2019

2018

  1. Yipeng Huang, Ning Guo, Simha Sethumadhavan, Mingoo Seok, Yannis Tsividis, “A Case Study in Analog Co-Processing for Solving Stochastic Differential Equations,” IEEE International Conference on Digital Signal Processing (DSP), 2018

  2. Joao Pedro Cerqueira, Jiangyi Li, Mingoo Seok, “A fW- and kHz-Class Feedforward Leakage Self-Suppression Logic Requiring No External Sleep Signal to Enter the Leakage Suppression Mode,” IEEE Solid-State Circuits Letter (SSCL), 2018

  3. Tianchan Guan, Xiaoyang Zeng, Mingoo Seok, “Recursive Synaptic Bit Reuse: An Efficient Way to Increase Memory Capacity in Associated Memory,” IEEE Transactions on VLSI Systems (TVLSI), 2018

  4. Sung Justin Kim, Doyun Kim, Jonghwan Kim, Hyunju Ham, Mingoo Seok, “A Fully-Integrated Digital LDO based on Hybrid Event- and Time-Driven Control,” IEEE Solid State Circuits Letter (SSCL), 2018

  5. Pavan Kumar Chundi, Ajay Kumar Sridhar, Saarthak Sarup, Mingoo Seok, “High-Capacity Fingerprint Recognition System based on a Dynamic Memory-Capacity Estimation Technique,” IEEE Biomedical Circuits and Systems Conference (BioCAS), 2018, a related preprint on the neural network memory capacity at Link

  6. Teng Yang, Doyun Kim, Jiangyi Li, Peter R. Kinget, Mingoo Seok, “In-Situ and In-Field Technique for Monitoring and Decelerating NBTI in 6T-SRAM Register Files,” IEEE Transactions of Very Large Scale Integration Systems (TVLSI), 2018

  7. Jiangyi Li, Pavan Kumar Chundi, Sung Justin Kim, Zhewei Jiang, Minhao Yang, Joonseong Kang, Seungchul Jung, Sang Joon Kim, Mingoo Seok, “A 0.78-uW 96-Ch. Neural Signal Processor Integrated with a Nanowatt Power Management Unit based on Energy-Robustness Co-Optimization Control,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2018

  8. Seongjong Kim, Mingoo Seok, “A Sub-50-um2, Voltage-Scalable, Digital-Standard-Cell-Compatible Thermal Sensor Frontend for On-Chip Thermal Monitoring,” Journal of Low Power Electronics and Applications - Special Issue on CMOS Low Power Design, 2018

  9. Sheng Zhang, Adrian Tang, Zhewei Jiang, Simha Sethumadhavan, Mingoo Seok, “Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point Blacklisting for Mitigating Power-Management Security Attacks,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2018

  10. Dongkwun Kim, Mingoo Seok, “Better-Than-Worst-Case Design Methodology for a Compact Integrated Switched-Capacitor DC-DC Converter,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2018

  11. Zhewei Jiang, Shihui Yin, Mingoo Seok, Jae-sun Seo, “XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks,” IEEE Symposium on VLSI Circuits (VLSI), 2018, in press

  12. Doyun Kim, Sung Kim, Hyunju Ham, Jonghwan Kim, Mingoo Seok, “0.5V-VIN, 165-mA/mm2 Fully-Integrated Digital LDO based on Event-Driven Self-Triggering Control,” IEEE Symposium on VLSI Circuits (VLSI), 2018, in press

  13. Mingoo Seok, Peter R. Kinget, Teng Yang, Jiangyi Li, Doyun Kim, “Recent Advances in In-situ and In-field Transistor-Aging and Compentation Techniques,” IEEE International Reliability Physics Symposium (IRPS), 2018, invited

  14. Jiangyi Li, Teng Yang, Minhao Yang, Peter R. Kinget, Mingoo Seok, “An Area-Efficient Microprocessor based SoC with an Instruction-Cache Transformable to an Ambient Temperature Sensor and a Physically Unclonable Function,” IEEE Journal of Solid-State Circuits (JSSC), 2018, invited to the special issue

  15. Minhao Yang, Chung-Heng Yeh, Yiyin Zhou, Joao Pedro Cerqueira, Aurel Lazar, Mingoo Seok, “1-uW Voice Activity Detector using Analog Feature Extraction and Digital Deep Neural Network,” IEEE International Solid-State Circuits Conference (ISSCC), 2018

2017

  1. Doyun Kim, Mingoo Seok, “A Fully-Integrated Digital Low-Drop-Out Regulator based on Event-Driven Explicit-Time-Coding Architecture,” IEEE Journal of Solid-State Circuits (JSSC), 2017

  2. Tom Repetti, Joao Pedro Cerqueira, Martha Kim, Mingoo Seok, “Pipelining a Triggered Processing Element,” IEEE/ACM Symposium on Microarchitecture (Micro), 2017

  3. Yipeng Huang, Ning Guo, Kyle T. Mandli, Mingoo Seok, Yannis Tsividis, Simha Sethumadhavan, “Hybrid Analog-Digital Solution of Nonlinear Partial Differential Equations,” IEEE/ACM Symposium on Microarchitecture (Micro), 2017

  4. Wei Jin, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok, “Near and Sub-Vt Pipelines based on Wide-Pulsed-Latch Design Techniques,” IEEE Journal of Solid-State Circuits (JSSC), 2017

  5. Joao Pedro Cerqueira, Mingoo Seok, “0.17mm2 3.19nJ/Transform 256-pt FFT Processor based on Spatiotemporal Active Leakage Suppression Techniques,” European Solid-State Circuits Conference (ESSCIRC), 2017

  6. Seongjong Kim, Joao Pedro Cerqueira, Mingoo Seok, “Near-Vt Adaptive Microprocessor and Power-Management-Unit System based on Direct Error Regulation,” European Solid-State Circuits Conference (ESSCIRC), 2017

  7. Jiangyi Li, Jae-Sun Seo, Ioannis Kymissis, Mingoo Seok, ‘‘Triple-Mode, Hybrid-Storage Energy Harvesting Power Management Unit: Achieving High Efficiency against Harvesting and Load Variabilities,’’ IEEE Journal of Solid-State Circuits (JSSC), 2017, invited for the special issue

  8. Pavan Kumar Chundi, Yini Zhou, Martha Kim, Eren Kursun, Mingoo Seok, “Hotspot monitoring and Temperature Estimation with miniature on-chip temperature sensors,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2017

  9. Sung Kim, Doyun Kim, Mingoo Seok, “Comparative Study and Optimization of Synchronous and Asynchronous Comparators at Near-threshold Voltages,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2017

  10. Teng Yang, Pavan Chundi, Seongjong Kim, Eren Kursun, Martha Kim, Peter R. Kinget, Mingoo Seok, “Compact and Voltage-Scalable Sensor for Accurate Thermal Sensing in Dynamic Thermal Management,” IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), 2017, invited

  11. Jiangyi Li, Teng Yang, Mingoo Seok, “A Technique to Transform 6T-SRAM Arrays Into Robust Analog PUF with Minimal Overhead,” IEEE International Symposium on Circuits and Systems, 2017

  12. Teng Yang, Jiangyi Li, Minhao Yang, Peter R. Kinget, Mingoo Seok, “An Area-Efficient Microprocessor-SoC with an Instruction-Cache Transformable to a Temperature Sensor and a Physically Unclonable Function,” IEEE Custom Integrated Circuits Conference (CICC), 2017

  13. Zhewei Jiang, Chisung Bae, Joonseong Kang, Sang Joon kim, Mingoo Seok, “Microwatt End-to-End Digital Neural Signal Processing Systems for Motor Intention Decoding,” Design, Automation, and Test in Europe (DATE), 2017

  14. Tianchan Guan, Xiaoyang Zeng, Mingoo Seok, “Extending Memory Capacity of Neural Associative Memory based on Recursive Synaptic Bit Reuse,” Design, Automation, and Test in Europe (DATE), 2017

  15. Doyun Kim, Jonghwan Kim, Hyunju Ham, Mingoo Seok, “A 0.5V-VIN 1.44mA-Class Event-Driven Digital LDO with a Fully-Integrated 100pF Output Capacitor,” IEEE International Solid-State Circuits Conference (ISSCC), 2017

2016

  1. Wei Jin, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok, “In-Situ Error Detection Techniques in Ultra-Low-Voltage Pipelines: Analysis and Optimizations,” IEEE Transactions on VLSI Systems (TVLSI), 2016

  2. Tianchan Guan, Letian Huang, Xiaoyang Zeng, Mingoo Seok, “Neural Network based Seizure Detection System using Raw EEG Data,” IEEE International SoC Design Conference (ISOCC), 2016, a part of the special session on Computational Devices, Circuits and Systems

  3. Jiangyi Li, Jae-Sun Seo, Ioannis Kymissis, Mingoo Seok, “Triple-Mode Photovoltaic Power Management: Achieving High Efficiency against Harvesting and Load Variability,” IEEE Asian Solid-State Circuits Conference (ASSCC), 2016

  4. Wei Jin, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok, “A 0.35V 1.3pJ/Cycle 20MHz 8-Bit 8-Tap FIR Core Based on Wide-Pulsed-Latch Pipelines,” IEEE Asian Solid-State Circuits Conference (ASSCC), 2016

  5. Jiangyi Li, Mingoo Seok, “Ultra-Compact and Robust Physically-Unclonable-Function based on Voltage-Compensated Proportional-to-Absolute-Temperature Voltage Generators,” IEEE Journal of Solid-State Circuits (JSSC), 2016

  6. Daniel Marti, Mattia Rigotti, Mingoo Seok, Stefano Fusi, “Energy-Efficient Neuromorphic Classifier,” Neural Computation, 2016

  7. Le Zheng, Zhenzhi Wu, Mingoo Seok, Xiaodong Wang, Quanhua Liu, “High-Accuracy Compressed Sensing Decoder Based on Adaptive (l0,l1) Complex Approximate Message Passing: Cross-Layer Design,” IEEE Transactions on Circuits and Systems I (TCAS-I), 2016

  8. Teng Yang, Peter R. Kinget, Mingoo Seok, “Register File Circuits and Post-Deployment Framework to Monitor Aging Effects in Field,” European Solid-State Circuits Conference (ESSCIRC), 2016

  9. Joao Pedro Cerqueira, Mingoo Seok, “Temporarily Fine-Grained Sleep Technique for Near- and Sub-Threshold Parallel Architecture,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems (TVLSI), 2016

  10. Zhewei Jiang, Joao Pedro Cerqueira, Seongjong Kim, Qi Wang, Mingoo Seok, “1.74-uW/ch, 95.3%-Accurate Spike-Sorting Hardware based on Bayesian Decision” IEEE Symposium on VLSI Circuits (VLSI), 2016

  11. Seongjong Kim, Joao Pedro Cerqueira, Mingoo Seok, “A 450mV Timing-Margin-Free Waveform Sorter based on Body Swapping Error Correction,” IEEE Symposium on VLSI Circuits (VLSI), 2016

  12. Ning Guo, Yipeng Huang, Tao Mai, Shavil Patil, Chi Cao, Mingoo Seok, Simha Sethumadhavan, Yannis Tsividis, “Low-Energy Hybrid Analog/Digital Approximate Computation in Continuous Time,” IEEE Journal of Solid-State Circuits (JSSC), 2016, invited for the special issue

  13. Yipeng Huang, Ning Guo, Mingoo Seok, Yannis Tsividis, Simha Sethumadhavan,“Evaluation of an Analog Accelerator,” IEEE International Symposium on Computer Architecture (ISCA), 2016

  14. Doyun Kim, Mingoo Seok, “Fully-Integrated Low Drop-Out Regulator based on Event-Driven PI Control,” IEEE International Solid-State Circuits Conference (ISSCC), 2016 IEEE

2015

  1. Teng Yang, Seongjong Kim, Peter R. Kinget, Mingoo Seok, “Ultra-compact and Voltage-Scalable Temperature Sensor Design for Dense Dynamic Thermal Management Techniques,” IEEE Journal of Solid-State Circuits (JSSC), 2015, IEEE

  2. Jae-Sun Seo, Mingoo Seok, “Digital CMOS Neuromorphic Processor Design Featuring Unsupervised Online Learning” IFIP/IEEE Internationl Conference on VLSI and System-on-Chip (VLSI-SoC), 2015, invited, IEEE

  3. Daniel Marti, Mattia Rigotti, Mingoo Seok, Stefano Fusi, “Energy-Efficient Neuromorphic Classifier,” ArXiv.org, 2015 arxiv

  4. Doyun Kim, Jiangyi Li, Mingoo Seok, “Energy-Optimal Voltage Model Supporting a Wide Range of Nodal Switching Rates for Early Design-Space Exploration” IEEE International Conference on Computer Design (ICCD), 2015, in press

  5. Seongjong Kim, Mingoo Seok, “A 30.1μm2, < ±1.1°C-3σ-Error, 0.4-to-1.0V Temperature Sensor based on Direct Threshold-Voltage Sensing for On-Chip Dense Thermal Monitoring,” IEEE Custom Integrated Circuits Conference (CICC), 2015, IEEE

  6. Ning Guo, Yipeng Huang, Tao Mai, Shavil Patil, Chi Cao, Mingoo Seok, Simha Sethumadhavan, Yannis Tsividis, “Continuous-Time Hybrid Computation with Programmable Nonlinearities,” European Solid-State Circuits Conference (ESSCIRC), 2015 IEEE

  7. Beinuo Zhang, Zhewei Jiang, Qi Wang, Jae-Sun Seo, Mingoo Seok, “A Neuromorphic Neural Spike Clustering Processor for Deep-Brain Sensing and Stimulation Systems,” ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), 2015, IEEE

  8. Jiangyi Li, Mingoo Seok, “A 3.07um^2/bitcell Physically Unclonable Function with 3.5% and 1% Bit-Instability across 0 to 80C and 0.6 to 1.2V in a 65nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI), 2015, IEEE

  9. Fabio Carta, Htay Hlaing, Hassan Edrees, Shyuan Yang, Mingoo Seok, Ioannis Kymissis, “Co-Development of Complementary Technology and Modified-CPL Family for Organic Digital Integrated Circuits,” Material Research Society Meeting & Exhibit (MRS), 2015 MRS

  10. Zhewei Jiang, Qi Wang, Mingoo Seok, “A Low Power Unsupervised Spike Sorting Accelerator Insensitive to Clustering Initialization in Sub-optimal Feature Space,” ACM/IEEE/EDAC Design Automation Conference (DAC), 2015 ACM

  11. Seongjong Kim, Mingoo Seok, “Variation-Tolerant Near-threshold Microprocessor Design with Low-Overhead, Within-a-Cycle In-situ Error Detection and Correction Technique,” IEEE Journal of Solid-State Circuits (JSSC), 2015, IEEE

  12. Teng Yang, Doyun Kim, Peter R. Kinget, Mingoo Seok, “In-situ Techniques for In-field sensing of NBTI Degradation in an SRAM Register File,” IEEE International Solid-State Circuits Conference (ISSCC), 2015, IEEE

2014

  1. Seongjong Kim, Mingoo Seok, “Analysis and Optimization of In-Situ Error Detection Techniques in Ultra-Low-Voltage Pipeline,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2014 ACM

  2. Seongjong Kim, Mingoo Seok, “Reconfigurable Interconnect-Driving Technique for Ultra-Dynamic-Voltage-Scaling Systems,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2014 ACM

  3. Seongjong Kim, Mingoo Seok, “R-Processor: 0.4V Resilient Processor with a Voltage-Scalable and Low-Overhead In-Situ Error Detection and Correction Technique in 65nm CMOS,” IEEE Symposium on VLSI Circuits (VLSI), 2014 IEEE

  4. Jiangyi Li, Mingoo Seok, “Robust and In-Situ Self-Testing Technique for Monitoring Device Aging Effects in Pipeline Circuits,” ACM EDAC IEEE Design Automation Conference (DAC), 2014 ACM

  5. Teng Yang, Seongjong Kim, Peter R. Kinget, Mingoo Seok, “0.6-1.0V, 279mm^2, 0.92uW Temperature Sensor with < +3.2/-3.4oC Error for Dense On-Chip Thermal Monitoring,” IEEE International Solid-State Circuits Conference (ISSCC), pp.282-283, 2014 IEEE

2013

  1. Mingoo Seok, Zhe Cao, “Parallelism and Pipelining in Ultra-Low Voltage Digital Circuits,” IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 2013, invited IEEE

  2. Yoonmyung Lee, Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “ Achieving Ultra-low Standby Power with an Energy Efficient SCCMOS Bias Generator,” IEEE Transactions on Circuits and Systems II (TCAS-II), 2013 IEEE

  3. Mohammad Hassan Ghaed, Gregory Chen, Razi-ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, Yoonmyung Lee, Inhee Lee, David Fick, Daeyeon Kim, Mingoo Seok, Kensall, and K. Wise, David Blaauw, and Dennis Sylvester, “Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor,” IEEE Transactions on Circuits and Systems I (TCAS-I), vol.60, no.12, pp.3152-3162, 2013 IEEE

  4. Matthew Fojtik, Daeyeon Kim, Gregory K. Chen, Yu-Shiang Lin, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, David Blaauw, Dennis Sylvester, “Millimeter-Scale Energy-Autonomous Sensor System with Stacked Battery and Solar Cells,” IEEE Journal of Solid-State Circuits (JSSC), vol.48, no.3, Mar.2013, pp.801-813 IEEE

  5. Yu Chen, Mingoo Seok, Steve M. Nowick, “Robust and Energy-Energycient Asynchronous Dynamic Pipelines for Ultra-Low-Voltage Operations Using Adaptive Keeper Control,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2013 IEEE

  6. Jian Liu, Steve M. Nowick, Mingoo Seok, “Soft MOUSETRAP: a Bundled-Data Asynchronous Pipeline Scheme Tolerant to Random Variations at Ultra Low Supply Voltages,” IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC), 2013, pp.1-7 IEEE

2012

  1. Mingoo Seok, “Performance and Energy-Efficiency Improvement through Modified CPL in Organic Transistor Integrated Circuits,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2012, ACM

  2. Mingoo Seok, “A Fine-Grained Many VT Design Methodology for Ultra Low Voltage Operations,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2012, ACM

  3. Mingoo Seok, “Decoupling Capacitor Design Strategy for Minimizing Supply Noise of Ultra Low Voltage Circuits,” ACM/IEEE Design Automation Conference (DAC), 2012, ACM

  4. Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “Extending Energy-Saving Voltage Scaling in Ultra Low Voltage Integrated Circuit Designs,” IEEE International Conference on IC Design and Technology (ICICDT), 2012, invited, IEEE

  5. Mingoo Seok, Gyouho Kim, David Blaauw, Dennis Sylvester, “A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference Operating at 0.5V,” IEEE Journal of Solid State Circuits (JSSC), 2012 IEEE

  6. Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “A Super-Pipelined Energy Emergingcient Subthreshold 240MS/s FFT Core in 65nm CMOS,” IEEE Journal of Solid State Circuits (JSSC), 2012, invited, IEEE

2011

  1. Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “A 0.27V, 30MHz, 17.7nJ-transform 1024-pt Complex FFT Core with super-pipelining,” IEEE International Solid-State Circuits Conferences (ISSCC), 2011, IEEE

  2. Gregory Chen, Hassan Ghaed, Razi-Ul Haque, Michael Wieckowski, Yejoong Kim, Gyouho Kim, David Fick, Daeyeon Kim, Mingoo Seok, Kensall Wise, David Blaauw, Dennis Sylvester, “A 1 Cubic Millimeter Energy-Autonomous Wireless Intraocular Pressure Monitor,” IEEE International Solid-State Circuits Conferences (ISSCC), 2011, IEEE

  3. Mingoo Seok, Dongsuk Jeon, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “Pipeline Strategy for Improving Optimal Energy Efficiency in Ultra-Low Voltage Design,” ACM/IEEE Design Automation Conference (DAC), 2011, ACM

  4. Dongsuk Jeon, Mingoo Seok, Chaitali Chakrabarti, David Blaauw, Dennis Sylvester, “Energy-Optimized High Performance FFT Processor,” IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), 2011, IEEE

  5. Daeyeon Kim, Gregory K. Chen, Matthew Fojtik, Mingoo Seok, Dennis Sylvester, David Blaauw, “A Femtowatt-Scale Ultra-Low Leakage 10T SRAM with Speed Compensation Scheme,” IEEE International Symposium on Circuits and Systems (ISCAS), 2011, IEEE

  6. Mingoo Seok, David Blaauw, Dennis Sylvester, “Robust Clock Network Design Methodology for Ultra-Low Voltage Operations,” IEEE Journal on Emerging and Special Topics on Circuits and Systems (JETCAS), 2011, invited, IEEE

  7. Mingoo Seok, Gregory Chen, Scott Hanson, Michael Wieckowski, David Blaauw, Dennis Sylvester, “Mitigating Variability in Near Threshold Computing,” IEEE Journal on Emerging and Special Topics on Circuits and Systems (JETCAS), 2011, invited, IEEE

  8. Mingoo Seok, Scott Hanson, David Blaauw, Dennis Sylvester, “Sleep Mode Analysis and Optimization with Minimal-Sized Power Gating Switch for Ultra-low Vdd Operations,” IEEE Transactions on VLSI systems (TVLSI), 2011, IEEE

2010

  1. Mingoo Seok, Gyouho Kim, David Blaauw, Dennis Sylvester, “Variability Analysis of a Digitally Trimmable Ultra-Low Power Voltage Reference,” IEEE European Solid-State Circuits Conference (ESSCIRC), Sep, 2010 IEEE

  2. Mingoo Seok, David Blaauw, Dennis Sylvester, “Clock Network Design for Ultra-Low Power Applications,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), Aug, 2010 ACM

  3. Mingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David Blaauw, Dennis Sylvester, “Circuit Design Advances to Enable Ubiquitous Sensing Environments,” IEEE International Symposium on Circuits and Systems (ISCAS), 2010, invited, IEEE

  4. Gregory K. Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis Sylvester, David Blaauw, “A Millimeter-Scale Near-Perpetual Sensor System with Stacked Battery and Solar Cells,” IEEE International Solid-State Circuits Conference (ISSCC), 2010 IEEE

2009

  1. Mingoo Seok, Gyouho Kim, Dennis Sylvester, David Blaauw, “A 0.5V 2.2pW 2-Transistor Voltage Reference,” IEEE Custom Integrated Circuit Conference (CICC), 2009 IEEE

  2. Michael Wieckowski, Gregory K. Chen, Mingoo Seok, Dennis Sylvester, David Blaauw, “A Hybrid DC-DC Converter for Nanoampere Sub-1V Implantable Applications,” IEEE Symposium on VLSI Circuits (VLSI), 2009 IEEE

  3. Scott Hanson, Mingoo Seok, Yu-shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode,” IEEE Journal of Solid State Circuits (JSSC), Apr., 2009, invited, IEEE

2008

  1. Dennis Sylvester, Scott Hanson, Mingoo Seok, Yu-Shiang Lin, David Blaauw, “Designing Robust Ultra-Low Power Circuits,” IEEE International Electron Device Meetings (IEDM), 2008, invited, IEEE

  2. Mingoo Seok, Scott Hanson, Jae-sun Seo, Dennis Sylvester, David Blaauw “Robust Ultra-low Voltage ROM Design,” IEEE Custom Integrated Circuit Conference (CICC), 2008 IEEE

  3. Yoonmyung Lee, Mingoo Seok, Scott Hanson, David Blaauw, Dennis Sylvester “Standby Power Reduction Techniques for Ultra-Low Power Processors,” IEEE European Solid-State Circuits Conference (ESSCIRC), 2008 IEEE

  4. Mingoo Seok, Dennis Sylvester, David Blaauw, “Optimal Technology Selection for Minimizing Energy and Variability in Low Voltage Applications,” IEEE ACM International Symposium on Low Power Electronics and Design (ISLPED), 2008 ACM

  5. Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, David Blaauw, “The Phoenix Processor: A 30pW Platform for Sensor Applications,” IEEE Symposium on VLSI Circuits (VLSI), 2008 IEEE

  6. Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd Austin, Dennis Sylvester, David Blaauw, “Exploring Variability and Performance in a Sub-200mV Processor,” IEEE Journal of Solid State Circuits (JSSC), Apr., 2008, invited, IEEE

2007

  1. Mingoo Seok, Scott Hanson, Dennis Sylvester, David Blaauw, “Analysis and Optimization of Sleep modes in Subthreshold Circuit Design,” ACM/IEEE Design Automation Conference (DAC), 2007 ACM

  2. Scott Hanson, Mingoo Seok, David Blaauw, Dennis Sylvester, “Nanometer Device Scaling in Subthreshold Circuits,” ACM/IEEE Design Automation Conference (DAC), 2007 ACM

  3. Scott Hanson, Bo Zhai, Mingoo Seok, Brian Cline, Kevin Zhou, Meghna Singhal, Michael Minuth, Javin Olson, Leyla Nazhandali, Todd Austin, Dennis Sylvester, David Blaauw, “Performance and Variability Optimization Strategies in a 150mV processor,” IEEE Symposium on VLSI Circuits (VLSI), 2007 IEEE

  4. Scott Hanson, Mingoo Seok, Dennis Sylvester, David Blaauw, “Nanometer Device Scaling in Subthreshold Logic and SRAM,” IEEE Transactions on Electron Devices (TED), 2007, invited, IEEE